AXI-Verification architecture, functional coverage and assertions based coverage code
Du kan inte välja fler än 25 ämnen Ämnen måste starta med en bokstav eller siffra, kan innehålla bindestreck ('-') och vara max 35 tecken långa.

axi_sqr.sv 276 B

6 månader sedan
12345678
  1. `include "uvm_macros.svh"
  2. import uvm_pkg::*;
  3. class AXI_SLAVE_SEQR extends uvm_sequencer #(axi_txn));
  4. `uvm_component_utils( AXI_SLAVE_SEQR)
  5. function new(string name=" AXI_SLAVE_SEQR",uvm_component parent);
  6. super.new(name,parent;
  7. endfunction
  8. endclass : AXI_SLAVE_SEQR