AXI-Verification architecture, functional coverage and assertions based coverage code
Vous ne pouvez pas sélectionner plus de 25 sujets Les noms de sujets doivent commencer par une lettre ou un nombre, peuvent contenir des tirets ('-') et peuvent comporter jusqu'à 35 caractères.

axi_sqr.sv 276 B

il y a 6 mois
12345678
  1. `include "uvm_macros.svh"
  2. import uvm_pkg::*;
  3. class AXI_SLAVE_SEQR extends uvm_sequencer #(axi_txn));
  4. `uvm_component_utils( AXI_SLAVE_SEQR)
  5. function new(string name=" AXI_SLAVE_SEQR",uvm_component parent);
  6. super.new(name,parent;
  7. endfunction
  8. endclass : AXI_SLAVE_SEQR