Ver código fonte

axi_coverage

main
charan 9 meses atrás
pai
commit
c89259794f
1 arquivos alterados com 11 adições e 0 exclusões
  1. +11
    -0
      axi_coverage.sv

+ 11
- 0
axi_coverage.sv Ver arquivo

@@ -0,0 +1,11 @@
class axi_coverage extends uvm_subscriber#(sequence_item);
`uvm_component_utils(axi_coverage);
axi_seq_item txn;
covergroup axi_cvrg;
@(posedge clk)
coverpoint awlen{ bins b1[]={[1,3,7,15]};}
coverpoint awsize{ bins b2[]={[1:7]};}
coverpoint awburst{ bins b3[]={0,1,2};
ignore_bins b31={3};}
endgroup

Carregando…
Cancelar
Salvar