diff --git a/axi_coverage.sv b/axi_coverage.sv new file mode 100755 index 0000000..45719fe --- /dev/null +++ b/axi_coverage.sv @@ -0,0 +1,11 @@ +class axi_coverage extends uvm_subscriber#(sequence_item); +`uvm_component_utils(axi_coverage); +axi_seq_item txn; +covergroup axi_cvrg; +@(posedge clk) +coverpoint awlen{ bins b1[]={[1,3,7,15]};} +coverpoint awsize{ bins b2[]={[1:7]};} +coverpoint awburst{ bins b3[]={0,1,2}; + ignore_bins b31={3};} +endgroup +