Nie możesz wybrać więcej, niż 25 tematów Tematy muszą się zaczynać od litery lub cyfry, mogą zawierać myślniki ('-') i mogą mieć do 35 znaków.

24 wiersze
357 B

  1. module axi_asserttions;
  2. ////// handshaking for awvalid & awready
  3. property pro;
  4. @(posedge clk)
  5. disable iff(reset)
  6. (awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);
  7. endproperty
  8. property pro_n;
  9. disable iff(reset)
  10. !($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)
  11. endproperty