You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

assertion.sv 357 B

4 maanden geleden
1234567891011121314151617181920212223
  1. module axi_asserttions;
  2. ////// handshaking for awvalid & awready
  3. property pro;
  4. @(posedge clk)
  5. disable iff(reset)
  6. (awvalid && !awready) |=> (awvalid && awready) ##1 (!awvalid && !awready);
  7. endproperty
  8. property pro_n;
  9. disable iff(reset)
  10. !($isunknown({awaddr,awlen,awsize,awburst,awvalid})& awburst=2'b 10) |-> (awaddr%(2**awsize)==0)
  11. endproperty