Nevar pievienot vairāk kā 25 tēmas Tēmai ir jāsākas ar burtu vai ciparu, tā var saturēt domu zīmes ('-') un var būt līdz 35 simboliem gara.
prasad_madala 8b7350db2b Upload files to '' pirms 4 mēnešiem
axi_coverage.sv Upload files to '' pirms 4 mēnešiem
axi_driver.sv axi_seqs.sv pirms 4 mēnešiem
axi_env.sv Upload files to '' pirms 4 mēnešiem
axi_env_config.sv Upload files to '' pirms 4 mēnešiem
axi_interface.sv Upload files to '' pirms 4 mēnešiem
axi_scoreboard.sv Upload files to '' pirms 4 mēnešiem
axi_seq_item.sv axi_seqs.sv pirms 4 mēnešiem
axi_seqs.sv axi_seqs.sv pirms 4 mēnešiem
axi_test_pkg.sv Upload files to '' pirms 4 mēnešiem
axi_wrap_waveform.png axi_seqs.sv pirms 4 mēnešiem