25'ten fazla konu seçemezsiniz Konular bir harf veya rakamla başlamalı, kısa çizgiler ('-') içerebilir ve en fazla 35 karakter uzunluğunda olabilir.

22 satır
455 B

  1. class axi_env_config extends uvm_object;
  2. `uvm_object_utils(axi_env_config);
  3. bit has_scoreboard=1;
  4. bit has_virtual_sequencer=1;
  5. bit has_slave_agent=1;
  6. bit has_master_agent=1;
  7. int no_of_master=1;
  8. int no_of_slave=1;
  9. master_config mst_cfg_h;
  10. slave_config slv_cfg_h;
  11. function new(string name="axi_env_config");
  12. super.new(name);
  13. endfunction : new
  14. endclass : axi_env_config