You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

4 月之前
12345678910111213141516171819202122232425262728293031323334353637383940414243444546474849
  1. //=============================AXI SEQUENCE CLASSS ==========================================//
  2. class axi_wrap_sequence extends uvm_sequence #(axi_seq_item);
  3. `uvm_object_utills(axi_wrap_sequence)
  4. axi_wrap_sequence wrap_xtn;
  5. function new(string name ="axi_wrap_sequene")
  6. super.new(name);
  7. endfunction
  8. task body();
  9. repeat(10)
  10. begin
  11. wrap_xtn=axi_seq_item::type_id::create("wrap_xtn");
  12. start_item(wrap_xtn);
  13. assert(wrap_xtn.randomize() with {AWBURST==2;});
  14. finish_item(wrap_xtn);
  15. end
  16. endtask
  17. endclass
  18. //=============================AXI SEQUENCE CLASSS ==========================================//
  19. class axi_wrap_read_seq extends uvm_sequence #(axi_seq_item);
  20. `uvm_object_utills(axi_wrap_read_seq)
  21. axi_wrap_sequence rwrap_xtn;
  22. function new(string name ="axi_wrap_read_seq")
  23. super.new(name);
  24. endfunction
  25. task body();
  26. repeat(10)
  27. begin
  28. rwrap_xtn=axi_seq_item::type_id::create("rwrap_xtn");
  29. start_item(rwrap_xtn);
  30. assert(rwrap_xtn.randomize() with {ARBURST==2;});
  31. finish_item(rwrap_xtn);
  32. end
  33. endtask
  34. endclass