Вы не можете выбрать более 25 тем Темы должны начинаться с буквы или цифры, могут содержать дефисы(-) и должны содержать не более 35 символов.

23 строки
640 B

  1. class axi_agent extends uvm_agent;
  2. axi_drv drv;
  3. axi_sqr sqr;
  4. axi_mon mon;
  5. axi_cov cov;
  6. `uvm_component_utils_begin(axi_agent)// factory registration
  7. `NEW_COMP
  8. function void build_phase(uvm_phase phase);
  9. super.build_phase(phase);
  10. mon = axi_mon::type_id::create("mon", this);
  11. drv =axi_drv::type_id::create("drv", this);// creating from factory
  12. sqr = axi_sqr::type_id::create("sqr", this);
  13. cov = axi_cov::type_id::create("cov", this);
  14. end
  15. endfunction
  16. function void connect_phase(uvm_phase phase);
  17. drv.seq_item_port.connect(sqr.seq_item_export);
  18. mon.ap_port.connect(cov.analysis_export);
  19. end
  20. endfunction
  21. endclass