Nevar pievienot vairāk kā 25 tēmas Tēmai ir jāsākas ar burtu vai ciparu, tā var saturēt domu zīmes ('-') un var būt līdz 35 simboliem gara.
pooja_das d62e5948b5 Upload files to '' pirms 4 mēnešiem
AXI_wave.png wave pirms 4 mēnešiem
axi_agent.sv Upload files to '' pirms 4 mēnešiem
axi_cov.sv new axi files pirms 5 mēnešiem
axi_drv.sv new driver pirms 5 mēnešiem
axi_env.sv Upload files to '' pirms 4 mēnešiem
axi_seq_lib.sv new seq_lib file pirms 5 mēnešiem
axi_sqr.sv new axi files pirms 5 mēnešiem
axi_tx.sv new axi files pirms 5 mēnešiem
top.sv Upload files to '' pirms 4 mēnešiem