Nie możesz wybrać więcej, niż 25 tematów Tematy muszą się zaczynać od litery lub cyfry, mogą zawierać myślniki ('-') i mogą mieć do 35 znaków.
kalal_mounika baa3b0623b Upload files to '' 4 miesięcy temu
README.md Initial commit 5 miesięcy temu
ass.sv mounika 4 miesięcy temu
axi_drv.sv mounika_drv 5 miesięcy temu
axi_interface.sv Upload files to '' 4 miesięcy temu
axi_seq.sv seq 5 miesięcy temu
axi_seqr.sv mounika 5 miesięcy temu
axi_tb_top.sv Upload files to '' 4 miesięcy temu
seq_item.sv mounika 5 miesięcy temu

README.md

axi_code