25개 이상의 토픽을 선택하실 수 없습니다. Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

43 lines
1.2 KiB

  1. //`include "uvm_pkg.sv"
  2. import uvm_pkg::*;
  3. `include "uvm_macros.svh"
  4. `include "axi_config_objs.svh"
  5. `include "axi_interface.sv"
  6. `include "axi_transaction.sv"
  7. `include "axi_write_seq.sv"
  8. `include "axi_read_seq.sv"
  9. `include "axi_m_driver.sv"
  10. `include "axi_m_monitor.sv"
  11. `include "axi_master_agent.sv"
  12. `include "axi_s_driver.sv"
  13. `include "axi_s_monitor.sv"
  14. `include "axi_slave_agent.sv"
  15. `include "axi_scoreboard.sv"
  16. `include "axi_env.sv"
  17. `include "axi_test.sv"
  18. `include "uvm_pkg.sv"
  19. // parameter A_WIDTH = 8; // Address bus width
  20. // parameter D_WIDTH = 128; // Data bus width
  21. module top;
  22. bit clk, rstn;
  23. always #5 clk = ~clk;
  24. initial rstn = 1;
  25. axi_intf#(.A_WIDTH(A_WIDTH), .D_WIDTH(D_WIDTH)) intf(clk, rstn);
  26. env_config env_cfg;
  27. initial begin
  28. env_cfg = new();
  29. env_cfg.intf = intf;
  30. uvm_config_db#(env_config)::set(null, "uvm_test_top", "config", env_cfg);
  31. uvm_config_db#(env_config)::set(null, "uvm_test_top.env.master", "config", env_cfg);
  32. uvm_config_db#(env_config)::set(null, "uvm_test_top.env.slave", "config", env_cfg);
  33. run_test("axi_base_test");
  34. end
  35. endmodule