Non puoi selezionare più di 25 argomenti Gli argomenti devono iniziare con una lettera o un numero, possono includere trattini ('-') e possono essere lunghi fino a 35 caratteri.

10 righe
206 B

  1. class axi_seqr extends uvm_sequencer #(seq_item);
  2. `uvm_component_utils(axi_seqr)
  3. function new(string name="axi_seqr",uvm_component parent);
  4. super.new(name,parent);
  5. endfunction
  6. endclass