Kaynağa Gözat

mounika

main
mounika 4 ay önce
ebeveyn
işleme
298d690d4d
3 değiştirilmiş dosya ile 35 ekleme ve 0 silme
  1. +0
    -0
      apple
  2. +35
    -0
      ass.sv
  3. +0
    -0
      mango

+ 0
- 0
apple Dosyayı Görüntüle


+ 35
- 0
ass.sv Dosyayı Görüntüle

@@ -0,0 +1,35 @@
module ass();

//wvalid id high and wready is low then wdata,wid,wstb should be stable

property p1();

@(posedge clk)
disable iff(rst)
(wvalid & !wready) |-> ($stable(wdata) && $stable(wid) && $stable(wstrb));

endproperty


assert property (p1)
$display("PASS");
else
$diplay("FAIL");

//In write transaction when burst type is wrap then len must have 2,4,8 or 16

property p1();

@(posedge clk)
disable iff(rst)
(awvalid & awburst==2'b01) |-> ((awlen==8'b00000010)||(awlen==8`b00000100)||(awlen==8'b00001000)||(awlen==8`b00010000));

endproperty


assert property (p1)
$display("PASS");
else
$diplay("FAIL");

endmodule

+ 0
- 0
mango Dosyayı Görüntüle


Yükleniyor…
İptal
Kaydet