You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

4 maanden geleden
1234567891011121314151617181920212223242526272829303132333435
  1. module ass();
  2. //wvalid id high and wready is low then wdata,wid,wstb should be stable
  3. property p1();
  4. @(posedge clk)
  5. disable iff(rst)
  6. (wvalid & !wready) |-> ($stable(wdata) && $stable(wid) && $stable(wstrb));
  7. endproperty
  8. assert property (p1)
  9. $display("PASS");
  10. else
  11. $diplay("FAIL");
  12. //In write transaction when burst type is wrap then len must have 2,4,8 or 16
  13. property p1();
  14. @(posedge clk)
  15. disable iff(rst)
  16. (awvalid & awburst==2'b01) |-> ((awlen==8'b00000010)||(awlen==8`b00000100)||(awlen==8'b00001000)||(awlen==8`b00010000));
  17. endproperty
  18. assert property (p1)
  19. $display("PASS");
  20. else
  21. $diplay("FAIL");
  22. endmodule