Nevar pievienot vairāk kā 25 tēmas Tēmai ir jāsākas ar burtu vai ciparu, tā var saturēt domu zīmes ('-') un var būt līdz 35 simboliem gara.
gandra_pravalika b68320ee38 Delete 'axi interface.sv' pirms 4 mēnešiem
axi_coverage.txt axi_coverage pirms 4 mēnešiem
axi_driver.sv.txt axi_driver pirms 4 mēnešiem
axi_seqitem.sv.bak axi_seqitem pirms 4 mēnešiem
axi_sequence.sv.bak axi pirms 4 mēnešiem