您最多选择25个主题 主题必须以字母或数字开头,可以包含连字符 (-),并且长度不得超过35个字符

32 行
766 B

  1. Class wrap_sequence extends uvm_sequence;
  2. `uvm_object_utils(wrap_sequence)
  3. seq_item s;
  4. function new(string name="wrap_sequence");
  5. super.new(name);
  6. endfunction3
  7. task body();
  8. s=sequence::type_id::create("s");
  9. start_item(s);
  10. assert(s.randomize() with (s.awburst=2'b10; s.awlen=3; s.awsize=2));
  11. finish_item();
  12. endclass
  13. class fixed_sequence extends uvm_sequence;
  14. `uvm_object_utils(fixed_sequence)
  15. seq_item s;
  16. function new(string name="fixed_sequence");
  17. super.new(name);
  18. endfunction
  19. task body();
  20. s=sequence::type_id::create("s");
  21. start_item(s);
  22. wr_rd==1;
  23. assert(s.randomize() with (s.awburst=0; s.awaddr= s.araddr; s.awaddr inside {[100:2000]}, s.wdata = 1000;);
  24. ////assert(s.randomize() with (s.awburst=0; s.awaddr!= s.araddr);
  25. finish_item();
  26. endclass