Nelze vybrat více než 25 témat
Téma musí začínat písmenem nebo číslem, může obsahovat pomlčky („-“) a může být dlouhé až 35 znaků.
|
- Class wrap_sequence extends uvm_sequence;
- `uvm_object_utils(wrap_sequence)
- seq_item s;
- function new(string name="wrap_sequence");
- super.new(name);
- endfunction3
- task body();
- s=sequence::type_id::create("s");
- start_item(s);
- assert(s.randomize() with (s.awburst=2'b10; s.awlen=3; s.awsize=2));
- finish_item();
- endclass
-
-
- class fixed_sequence extends uvm_sequence;
- `uvm_object_utils(fixed_sequence)
- seq_item s;
- function new(string name="fixed_sequence");
- super.new(name);
- endfunction
- task body();
- s=sequence::type_id::create("s");
- start_item(s);
- wr_rd==1;
- assert(s.randomize() with (s.awburst=0; s.awaddr= s.araddr; s.awaddr inside {[100:2000]}, s.wdata = 1000;);
- ////assert(s.randomize() with (s.awburst=0; s.awaddr!= s.araddr);
- finish_item();
- endclass
-
-
|