Вы не можете выбрать более 25 тем Темы должны начинаться с буквы или цифры, могут содержать дефисы(-) и должны содержать не более 35 символов.

30 строки
535 B

  1. class coverage extends uvm_subscriber;
  2. `uvm_component_utils(coverage)
  3. seq_item s;
  4. covergroup cg;
  5. @(posedge clk)
  6. coverpoint awaddr{bins a1[]={[00,16'FFFFFFFF]};}
  7. coverpoint awlen{bins a2[]={[1:16]};}
  8. coverpoint awsize{bins a3[]={[0:7]};}
  9. coverpoint awburst{bins a41[]={00,01,10};
  10. ignore bins a42={b11};}
  11. endgroup
  12. covergroup cg1;
  13. @(posedge clk)
  14. coverpoint bresp{bins b1[]={00,01};
  15. illegal bins b2[]={10,11};}
  16. endgroup
  17. function new();
  18. cg=new();
  19. cg1=new();
  20. cg.sample();
  21. cg1.sample();
  22. endfunction