From 159b70577bd0ed6c3c84e0256ded7a632af1930e Mon Sep 17 00:00:00 2001 From: gandra_pravalika Date: Thu, 9 May 2024 12:24:17 +0100 Subject: [PATCH] axi --- axi_sequence.sv.bak | 31 +++++++++++++++++++++++++++++++ 1 file changed, 31 insertions(+) create mode 100644 axi_sequence.sv.bak diff --git a/axi_sequence.sv.bak b/axi_sequence.sv.bak new file mode 100644 index 0000000..948e4d8 --- /dev/null +++ b/axi_sequence.sv.bak @@ -0,0 +1,31 @@ + Class wrap_sequence extends uvm_sequence; +`uvm_object_utils(wrap_sequence) + seq_item s; + function new(string name="wrap_sequence"); + super.new(name); + endfunction3 + task body(); + s=sequence::type_id::create("s"); + start_item(s); + assert(s.randomize() with (s.awburst=2'b10; s.awlen=3; s.awsize=2)); + finish_item(); + endclass + + +class fixed_sequence extends uvm_sequence; +`uvm_object_utils(fixed_sequence) + seq_item s; +function new(string name="fixed_sequence"); +super.new(name); +endfunction +task body(); +s=sequence::type_id::create("s"); +start_item(s); +wr_rd==1; +assert(s.randomize() with (s.awburst=0; s.awaddr= s.araddr; s.awaddr inside {[100:2000]}, s.wdata = 1000;); +////assert(s.randomize() with (s.awburst=0; s.awaddr!= s.araddr); +finish_item(); +endclass + + +