diff --git a/sim_1/imports/sim_1/tb_axi4_Lite_MM.v b/sim_1/imports/sim_1/tb_axi4_Lite_MM.v index 9c4e4d5..ee814ca 100644 --- a/sim_1/imports/sim_1/tb_axi4_Lite_MM.v +++ b/sim_1/imports/sim_1/tb_axi4_Lite_MM.v @@ -114,9 +114,22 @@ module tb_axi4_Lite_MM; s_axi_wdata = 32'h88_77_66_55; //66_55_77_88; s_axi_wvalid = 1; //s_axi_bready = 1; - + + #12 + s_axi_araddr = 18'h10; + #4 s_axi_arvalid = 1; + s_axi_rready = 1; + + #8; + s_axi_arvalid = 0; // write + s_axi_awvalid = 1; + s_axi_awaddr = 18'h1C; + s_axi_wdata = 32'h22_44_88_aa; //66_55_77_88; + s_axi_wvalid = 1; + //s_axi_bready = 1; + #12; - s_axi_araddr = 18'h10; // read + s_axi_araddr = 18'h1C; // read #4 s_axi_arvalid = 1; s_axi_rready = 1;