|
- `include "uvm_macros.svh"
- import uvm_pkg::*;
- class AXI_SLAVE_SEQR extends uvm_sequencer #(axi_txn));
- `uvm_component_utils( AXI_SLAVE_SEQR)
- function new(string name=" AXI_SLAVE_SEQR",uvm_component parent);
- super.new(name,parent;
- endfunction
- endclass : AXI_SLAVE_SEQR
|