AXI-Verification architecture, functional coverage and assertions based coverage code
You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

26 line
591 B

  1. //env
  2. class env extends uvm_env;
  3. `uvm_component_utils(env)
  4. agent agnt;
  5. scoreboard sb;
  6. function new(string name="env", uvm_component parent);
  7. super.new(name, parent);
  8. endfunction
  9. function void build_phase(uvm_phase phase);
  10. super.build_phase(phase);
  11. agnt = agent::type_id::create("agnt", this);
  12. sb = scoreboard::type_id::create("sb",this);
  13. endfunction
  14. function void connect_phase(uvm_phase phase);
  15. super.connect_phase(phase);
  16. //connecting montor and scoreboard usig analysis port
  17. agnt.mon.mon_port.connect(sb.sb_imp_port);
  18. endfunction
  19. endclass