//env class env extends uvm_env; `uvm_component_utils(env) agent agnt; scoreboard sb; function new(string name="env", uvm_component parent); super.new(name, parent); endfunction function void build_phase(uvm_phase phase); super.build_phase(phase); agnt = agent::type_id::create("agnt", this); sb = scoreboard::type_id::create("sb",this); endfunction function void connect_phase(uvm_phase phase); super.connect_phase(phase); //connecting montor and scoreboard usig analysis port agnt.mon.mon_port.connect(sb.sb_imp_port); endfunction endclass