AXI-Verification architecture, functional coverage and assertions based coverage code
Você não pode selecionar mais de 25 tópicos Os tópicos devem começar com uma letra ou um número, podem incluir traços ('-') e podem ter até 35 caracteres.

axi_sqr.sv 276 B

6 meses atrás
12345678
  1. `include "uvm_macros.svh"
  2. import uvm_pkg::*;
  3. class AXI_SLAVE_SEQR extends uvm_sequencer #(axi_txn));
  4. `uvm_component_utils( AXI_SLAVE_SEQR)
  5. function new(string name=" AXI_SLAVE_SEQR",uvm_component parent);
  6. super.new(name,parent;
  7. endfunction
  8. endclass : AXI_SLAVE_SEQR