AXI-Verification architecture, functional coverage and assertions based coverage code
Nevar pievienot vairāk kā 25 tēmas Tēmai ir jāsākas ar burtu vai ciparu, tā var saturēt domu zīmes ('-') un var būt līdz 35 simboliem gara.

pirms 6 mēnešiem
12345678
  1. `include "uvm_macros.svh"
  2. import uvm_pkg::*;
  3. class AXI_SLAVE_SEQR extends uvm_sequencer #(axi_txn));
  4. `uvm_component_utils( AXI_SLAVE_SEQR)
  5. function new(string name=" AXI_SLAVE_SEQR",uvm_component parent);
  6. super.new(name,parent;
  7. endfunction
  8. endclass : AXI_SLAVE_SEQR