AXI-Verification architecture, functional coverage and assertions based coverage code
Vous ne pouvez pas sélectionner plus de 25 sujets Les noms de sujets doivent commencer par une lettre ou un nombre, peuvent contenir des tirets ('-') et peuvent comporter jusqu'à 35 caractères.

axi_env.sv 591 B

il y a 6 mois
12345678910111213141516171819202122232425
  1. //env
  2. class env extends uvm_env;
  3. `uvm_component_utils(env)
  4. agent agnt;
  5. scoreboard sb;
  6. function new(string name="env", uvm_component parent);
  7. super.new(name, parent);
  8. endfunction
  9. function void build_phase(uvm_phase phase);
  10. super.build_phase(phase);
  11. agnt = agent::type_id::create("agnt", this);
  12. sb = scoreboard::type_id::create("sb",this);
  13. endfunction
  14. function void connect_phase(uvm_phase phase);
  15. super.connect_phase(phase);
  16. //connecting montor and scoreboard usig analysis port
  17. agnt.mon.mon_port.connect(sb.sb_imp_port);
  18. endfunction
  19. endclass