Du kannst nicht mehr als 25 Themen auswählen Themen müssen entweder mit einem Buchstaben oder einer Ziffer beginnen. Sie können Bindestriche („-“) enthalten und bis zu 35 Zeichen lang sein.

33 Zeilen
733 B

  1. package axi_test_pkg;
  2. import uvm_pkg::*;
  3. `include "uvm_macros.svh"
  4. `include "axi_xtn.sv"
  5. `include "mstr_agt_config.sv"
  6. `include "slv_agt_config.sv"
  7. `include "axi_env_config.sv"
  8. `include "mstr_driver.sv"
  9. `include "mstr_monitor.sv"
  10. `include "mstr_sequencer.sv"
  11. `include "mstr_agent.sv"
  12. `include "mstr_agt_top.sv"
  13. `include "mstr_seqs.sv"
  14. `include "slv_driver.sv"
  15. `include "slv_monitor.sv"
  16. `include "slv_sequencer.sv"
  17. `include "slv_agent.sv"
  18. `include "slv_agt_top.sv"
  19. `include "slv_seqs.sv"
  20. `include "axi_virtual_sequencer.sv"
  21. `include "virtual_seqs.sv"
  22. `include "axi_scoreboard.sv"
  23. `include "axi_env.sv"
  24. `include "vtestlib.sv"
  25. endpackage