You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

25 rivejä
296 B

  1. `include "axi_test_pkg.sv"
  2. `include "axi_interface.sv"
  3. module top;
  4. import uvm_pkg::*;
  5. import axi_test_pkg::*;
  6. bit clk;
  7. always #5 clk= ~clk;
  8. axi_if axi_if0(clk);
  9. initial
  10. begin
  11. uvm_config_db #(virtual axi_if)::set(null,"*","axi_if",axi_if0);
  12. run_test("wrap_seq_test");
  13. end
  14. endmodule