Du kannst nicht mehr als 25 Themen auswählen Themen müssen entweder mit einem Buchstaben oder einer Ziffer beginnen. Sie können Bindestriche („-“) enthalten und bis zu 35 Zeichen lang sein.

12 Zeilen
333 B

  1. class axi_coverage extends uvm_subscriber#(sequence_item);
  2. `uvm_component_utils(axi_coverage);
  3. axi_seq_item txn;
  4. covergroup axi_cvrg;
  5. @(posedge clk)
  6. coverpoint awlen{ bins b1[]={[1,3,7,15]};}
  7. coverpoint awsize{ bins b2[]={[1:7]};}
  8. coverpoint awburst{ bins b3[]={0,1,2};
  9. ignore_bins b31={3};}
  10. endgroup