charan_vabanagiri 4 달 전
부모
커밋
2c53689a5f
1개의 변경된 파일24개의 추가작업 그리고 0개의 파일을 삭제
  1. +24
    -0
      top.sv

+ 24
- 0
top.sv 파일 보기

@@ -0,0 +1,24 @@
`include "axi_test_pkg.sv"
`include "axi_interface.sv"
module top;

import uvm_pkg::*;

import axi_test_pkg::*;

bit clk;

always #5 clk= ~clk;

axi_if axi_if0(clk);

initial
begin
uvm_config_db #(virtual axi_if)::set(null,"*","axi_if",axi_if0);
run_test("wrap_seq_test");

end

endmodule


불러오는 중...
취소
저장