Bläddra i källkod

Delete 'axi_test_pkg.sv'

main
Charan_Vabanagiri 6 månader sedan
förälder
incheckning
23fb8a198f
1 ändrade filer med 0 tillägg och 32 borttagningar
  1. +0
    -32
      axi_test_pkg.sv

+ 0
- 32
axi_test_pkg.sv Visa fil

@@ -1,32 +0,0 @@
package axi_test_pkg;

import uvm_pkg::*;
`include "uvm_macros.svh"
`include "axi_xtn.sv"
`include "mstr_agt_config.sv"
`include "slv_agt_config.sv"
`include "axi_env_config.sv"
`include "mstr_driver.sv"
`include "mstr_monitor.sv"
`include "mstr_sequencer.sv"
`include "mstr_agent.sv"
`include "mstr_agt_top.sv"
`include "mstr_seqs.sv"

`include "slv_driver.sv"
`include "slv_monitor.sv"
`include "slv_sequencer.sv"
`include "slv_agent.sv"
`include "slv_agt_top.sv"
`include "slv_seqs.sv"

`include "axi_virtual_sequencer.sv"
`include "virtual_seqs.sv"
`include "axi_scoreboard.sv"
`include "axi_env.sv"

`include "vtestlib.sv"

endpackage



Laddar…
Avbryt
Spara