25개 이상의 토픽을 선택하실 수 없습니다. Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

axi_test_pkg.sv 733 B

4 달 전
1234567891011121314151617181920212223242526272829303132
  1. package axi_test_pkg;
  2. import uvm_pkg::*;
  3. `include "uvm_macros.svh"
  4. `include "axi_xtn.sv"
  5. `include "mstr_agt_config.sv"
  6. `include "slv_agt_config.sv"
  7. `include "axi_env_config.sv"
  8. `include "mstr_driver.sv"
  9. `include "mstr_monitor.sv"
  10. `include "mstr_sequencer.sv"
  11. `include "mstr_agent.sv"
  12. `include "mstr_agt_top.sv"
  13. `include "mstr_seqs.sv"
  14. `include "slv_driver.sv"
  15. `include "slv_monitor.sv"
  16. `include "slv_sequencer.sv"
  17. `include "slv_agent.sv"
  18. `include "slv_agt_top.sv"
  19. `include "slv_seqs.sv"
  20. `include "axi_virtual_sequencer.sv"
  21. `include "virtual_seqs.sv"
  22. `include "axi_scoreboard.sv"
  23. `include "axi_env.sv"
  24. `include "vtestlib.sv"
  25. endpackage