Nevar pievienot vairāk kā 25 tēmas Tēmai ir jāsākas ar burtu vai ciparu, tā var saturēt domu zīmes ('-') un var būt līdz 35 simboliem gara.

axi_coverage.sv 333 B

pirms 4 mēnešiem
1234567891011
  1. class axi_coverage extends uvm_subscriber#(sequence_item);
  2. `uvm_component_utils(axi_coverage);
  3. axi_seq_item txn;
  4. covergroup axi_cvrg;
  5. @(posedge clk)
  6. coverpoint awlen{ bins b1[]={[1,3,7,15]};}
  7. coverpoint awsize{ bins b2[]={[1:7]};}
  8. coverpoint awburst{ bins b3[]={0,1,2};
  9. ignore_bins b31={3};}
  10. endgroup